FPGA学习方法

学习视频入行十年,我总结了这份FPGA学习路线:搞定这四点,你也能轻松进阶_哔哩哔哩_bilibili

mindmap:

编程语言

硬件描述语言

  • VHDL
    语法更加严谨
  • Verilog
    语法更加灵活

    • Verilog推荐书籍
      [[Verilog数字系统设计教程(第二版)+夏宇闻.pdf]]
    • Verilog刷题网站
      HDLBits (01xz.net)
  • Systemverilog
    加入了Verilog中不支持但是非常有用的功能,简化了Verilog语法表达

    • 推荐书籍
      [[SystemVerilog验证测试平台编写指南.pdf]]

Verilog /VHDL语法

  • 可综合部分:语句可生成对应的硬件电路
  • 不可综合部分:主要用于设计与验证

    仿真工具

  • Modelsim
  • Questa

    网页工具

  • EDAplayground
  • iVerilog(网上开源工具)
  • 基础知识

    专业基础课

  • 电路
  • 数电(优先)
  • 计算机体系结构
  • 数字信号处理

    FPGA相关

  • 了解FPGA芯片结构以及基本组成单元:查找表、逻辑单元、逻辑块、DSP、存储器(推荐看FPGA官方文档)
  • 了解FPGA开发流程
  • RTL设计
  • 仿真验证
  • 逻辑综合
  • 布局布线
  • 时序收敛
  • 硬件测试

    开发工具

  • VIVADO(AMD)
  • Quartus(Intel)

    动手实验

    结合开发板与参考书实验

  • 暂无评论

    发送评论 编辑评论

    
    				
    |´・ω・)ノ
    ヾ(≧∇≦*)ゝ
    (☆ω☆)
    (╯‵□′)╯︵┴─┴
     ̄﹃ ̄
    (/ω\)
    ∠( ᐛ 」∠)_
    (๑•̀ㅁ•́ฅ)
    →_→
    ୧(๑•̀⌄•́๑)૭
    ٩(ˊᗜˋ*)و
    (ノ°ο°)ノ
    (´இ皿இ`)
    ⌇●﹏●⌇
    (ฅ´ω`ฅ)
    (╯°A°)╯︵○○○
    φ( ̄∇ ̄o)
    ヾ(´・ ・`。)ノ"
    ( ง ᵒ̌皿ᵒ̌)ง⁼³₌₃
    (ó﹏ò。)
    Σ(っ °Д °;)っ
    ( ,,´・ω・)ノ"(´っω・`。)
    ╮(╯▽╰)╭
    o(*////▽////*)q
    >﹏<
    ( ๑´•ω•) "(ㆆᴗㆆ)
    😂
    😀
    😅
    😊
    🙂
    🙃
    😌
    😍
    😘
    😜
    😝
    😏
    😒
    🙄
    😳
    😡
    😔
    😫
    😱
    😭
    💩
    👻
    🙌
    🖕
    👍
    👫
    👬
    👭
    🌚
    🌝
    🙈
    💊
    😶
    🙏
    🍦
    🍉
    😣
    Source: github.com/k4yt3x/flowerhd
    颜文字
    Emoji
    小恐龙
    花!
    上一篇
    下一篇